qshinoの日記

Powershell関係と徒然なこと

vcs関係

vcs関係

課題

  1. encryped ip mentor/modelsim用をvcsでは使えなかった。
  2. vcs用encrypted rtl を作れない。

解決済み

  1. not supported architectute → -full64 option for vcs
  2. initial 内のclk, rstがwireではエラー → regに変更。

vpd to vcd

  • vpd2vcd vpd vcd

encrypted rtl

modelsim用のencrypted rtlがvcsで動かないのは当たり前かな。vcs用encrypted rtl を作ってから。

64bit

vcs -full64 xx.v

initial 内で、wire 指定信号に代入するとコンパイルエラー。仕方なくclk, rstをreg 指定。

`timescale 1ns/10ps

module poi;

reg clk, rst;

initial begin
  rst = 1;
  #10 rst=0;
  #100 $finish;
end

always @(*)begin
  #1 clk = ~clk;
end // always

end // poi

poi