qshinoの日記

Powershell関係と徒然なこと

2019-03-01から1ヶ月間の記事一覧

デモネタ

デモネタ一覧 動画処理の面白いデモのネタ帳。 AR会議 メンバーの顔を美しく装飾。或いはアイコン化。 背景を隠蔽。 監視 人の顔をモザイク QRコード QRコードを読み取り。 物体指紋 物体指紋をひたすら登録、検索し、個体管理。 出入りの人のカウント その…

Docker device access

Docker device access Dockerコンテナからホストデバイスをアクセスする方法。 videoなら -device /dev/video0:/dev/video0 参考 https://www.itmedia.co.jp/enterprise/spv/1603/02/news031_2.html

isatap

isatap ipv6 address /64:0:5efe:ipv4 ip protocol 41 isatap registry on win Registry Hive: HKEY_LOCAL_MACHINE Registry Path: Software\Policies\Microsoft\Windows\TCPIP\v6TransitionValue Name: ISATAP_RouterNameValue Type: REG_SZ Default Value:…

mDNS

PC

mDNS マルチキャストDNS appleのランデブー、ボンジュール win10もupdateで対応。 セグメント内部だけ。 avahi linux系ではavahi-daemon ホスト名を設定すれば、xxx.local で参照できる。 yum yum install nss-mdns avahi avahi-tools その他 fw 5353/udp を…

iPv6 アドレス一覧

IPv6アドレス ff00::/8 マルチキャスト fec0::/10 サイトローカル 廃止 fe80::/10 リンクローカルアドレス fd00::/8 ユニークローカルアドレス fc00::/8 予約 2002::/16 6to4 2001:db8:/32 文書用 利用禁止 2001:0::/32 teredo direct tunnel 2000::/3 GUA …

rockpro64

Spec. Rockchip RK3399 Hexa-Core (dual ARM Cortex A72 and quad ARM Cortex A53) 64-Bit MALI T-860 Quad-Core GPU. 4GB LPDDR4 128Mb SPI boot Flash. optional eMMC module (up to 128GB) microSD slot for booting. a PCIe x4 open ended slot 1x USB 3…

telnet login

telnet login config pw <sw> system-view Enter system view , return user view with Ctrl+Z. [sw] user-interface vty 0 [sw-ui-vty0] set authentication password simple xxxx config ip interface vlan-interface 1 ip address dhcp quit config user 不明</sw>…

nmcli

NetworkManagerのコマンドラインツールnmcliの使い方。 ipアドレス設定 # nmcli con mod eth0 ipv4.addresses 192.168.0.78/24 # nmcli con mod eth0 ipv4.method manual # nmcli con mod eth0 ipv4.gateway 192.168.0.1 # nmcli con mod eth0 ipv4.dns 192.…

nvidia settings

settings http://hassiweb-programming.blogspot.com/2017/10/overclock-for-nvidia-gpu-on-linux.html?m=1 adaptive/performance mode http://billauer.co.il/blog/2018/08/nvidia-low-pci-express-speed/

python getopt

import getopt, sys def main(): try: opts, args = getopt.getopt(sys.argv[1:], "ho:v", ["help", "output="]) except getopt.GetoptError as err: # print help information and exit: print(err) # will print something like "option -a not recognized…

cuda

cuda10 on k40x2 cuda10をk40x2 のマシンにインストール。 os centos7 簡単には行かなかったが、サンプルをコンパイル中。記憶の限りでの対応内容。 はじまり GPUを搭載してlspciでデバイス発見。嬉々としてcuda/runfileをDL&インスコ。まだまだ長い道のりの…

python sqlite

PC

sqlite をpyhonから使う。 # -*- coding: utf-8 -*- import sqlite3 from contextlib import closing class Dbs: db = 'database.db' utable = 'create table users (id int, name varchar(64), age int, gender varchar(32))' def __init__(self): self.con…

python serialize

http://diveintopython3-ja.rdy.jp/serializing.html

簡易db on python

import pickle class Sdb: def __init__(self): self.db = {} # dict def add(self,name,val): self.db[name] = val def val(self,name): return self.db[name] class Pdb(Sdb): file="dbname" def load(self): with open(file,'r') as f: self.a = pickle.l…

audacity

リンク http://taira-komori.jpn.org/audacityeffectstop.html

usb time related

参考 https://www.renesas.com/jp/ja/solutions/key-technology/connectivity-wired/usb/about-usb/usb1-1/usb1-d.html

android python kivy

kivy https://qiita.com/dario_okazaki/items/7892b24fcfa787faface

クラゲさん

面白かったので、リンクを掲載。 http://jellyware.jp/kurage/bluejelly/advance_slick.html

python module search path

PC

path ターミナルでカレントディレクトリを移動して再度実行すると、3. カレントディレクトリが変化していることが分かる。 cd .. pwd /Users/mbp/Documents/my-project/python-snippets python3 notebook/print_sys_path.py ['/Users/mbp/Documents/my-proje…

markdown test

hello test1 日本語

USB request timeout

# The Setup Packet Every USB device must respond to setup packets on the default pipe. The setup packets are used for detection and configuration of the device and carry out common functions such as setting the USB device’s address, reques…

喫茶店

# 南多摩 コメダ珈琲、カウンター席に電源あり。wi2 option area 星乃珈琲店、??? # 稲城長沼 # 矢野口 # 稲田堤 ドトール、wifiなし? # 中野島 ドトール wi2, cocacola-fine # 登戸 ドトール wi2、電源、JR側入口左。喫煙室入口前。ボックス席JR入り口…

iOS android programming

# iOS https://blog.mbaas.nifcloud.com/entry/11383 #Android https://blog.mbaas.nifcloud.com/entry/11393?amp=1 # sl4a + python for android android アプリを作成できる。 https://android.keicode.com/devenv/sl4a-hello-world.php チュートリアル ht…

AndroidをBluetooth keyboardにする

# Android をBlueToothキーボードにする アンドロイド端末をBlueTooth端末にする方法を探した結果、下記で動作した。 試したのは下記の構成。 キーボード側: Android 6.0 入力側: iOS 12.1.4 # 補足 android 5.0以上が必要な模様。また、キーボードのキーが…

チーズクラフトワーク

大阪茶屋町チーズクラフトワーク ラクレットチーズ他。

スイッチの代わりにnat

NATできないスイッチで、NATしたい 下記の様な構成で、GがグローバルなLAN, sw1がNATできないスイッチ、PがプライベートなLAN, SVがNAT先のサーバ。sw2もNAT不可。 G -> sw1 -> P -> sw2 -> SV SVは直接Gに見せず、private lan に設置。NATでGへアクセスした…

Raspberry pi 3 違い

PC

一覧 1A+. —-, 700MHz,256MB 1B+. LAN, 700MHz,512MB 2B. LAN, 900MHz,1GB 3B. LAN100, BT4.1, 2.4G wifi, 1.2GHz,1GB 3B+ LAN300poe受電, BT4.2, 2.4/5G wifi/ac, 1.4GHz/4c,1GB,usb2x2 3A+ noLAN,1.4G/4c,512MB,wifi2.4/5G, usb2x1 zeroWH noLAN,microUSB,…

Raspberry Pi3 audio i2s

# How to use Raspberry Pi 3 i2s # Raspberry Pi3 GPIO related to i2s 12 BCLK 35 LRCLK 39 Data In 40 Data Out # For audio out use 12 BCLK out,35 LRCLKout,40 Data out # For audio in use 12, 35,39 恐らく、12,35は入力になるのでは無かろうか?そ…

Rock64

# Rock64pro pciex4 https://www.pine64.org/?post_type=product

audio wire

PC

Base plan ando -> usb -> spdif -> i2s -> gpio android usb cable usb spdif adaptor spdif rca cable spdif i2s module i2s gpio cable gpio -> i2s -> spdif -> usb -> ando android usb cable usb spdif adaptor spdif rca cable spdif i2s module i2s …