qshinoの日記

Powershell関係と徒然なこと

2020-07-04から1日間の記事一覧

PCI Express Transaction Types

TLP List Posted MemWrite, Msg, MsgD NonPosted others except completion Completion : Cpl, CplD With Data posted wd/MemWrite, MsgD, wod/msg nonposted wd/IOwrite, cfgwt cpl wd/cplD, wod/cpl Note iowrite, cfgwrite is nonposted Msg has MsgD and…

SystemVerilig DPI BFM

HDL

DPI BFM DPI を使ったSVとBFMのCoSimulation。 参照 http://www.kumikomi.net/archives/2009/12/_1systemverilogdpi-c.php?page=2

SystemVerilog配列

HDL

SystemVerilog 配列 SystemVerilog 配列は4種類。 静的配列 動的配列 queue 連想配列 コード例 queueと連想配列使用例。byte型データのメモリ。 // mem.sv module mem; // Variables byte m[int unsigned]; // 連想配列 int unsigned q[$]; // queue // func…

UVM

HDL

UVM [1] UVMマニュアル、実装 https://www.accellera.org/downloads/standards/uvm [2] modelsim with UVM https://eda-playground.readthedocs.io/en/latest/modelsim-uvm.html [3] http://www.artgraphics.co.jp/PublicDownloads/Lecture/ET2018_UVM_Lectu…