qshinoの日記

Powershell関係と徒然なこと

2020-07-09から1日間の記事一覧

Pcie packet type

posted non-posted completion Type Cmd wo/data Cmd w/data completion cpl cpld posted msg mwt, msgd non-posted mrd, iord, cfgrd iowt, cfgwt, atomics cpl > non-posted > posted cplv = always on non-posted = posted = 転送長が分からない段階での…

vcs関係

vcs関係 課題 encryped ip mentor/modelsim用をvcsでは使えなかった。 vcs用encrypted rtl を作れない。 解決済み not supported architectute → -full64 option for vcs initial 内のclk, rstがwireではエラー → regに変更。 vpd to vcd vpd2vcd vpd vcd en…

井手英策さん

https://www.minshin.or.jp/article/111330?fbclid=IwAR25PEfGMgHly-WWGbBJ10tRuw_x_rV05h84eLh13MGxnbeAok7PBq7cfY4