qshinoの日記

Powershell関係と徒然なこと

2020-01-01から1年間の記事一覧

task spooler

候補 linux 向け Task Spooler 基本的なスプーラ ts command により実行。 ts キュー表示 ts xxx xxxコマンド投入 ts -c コマンド出力表示/block する ts -t ラスト10行表示/blockしない https://www.ostechnix.com/add-linux-commands-queue-execute-one-on…

imperial colledge covid sim

sim https://www.imperial.ac.uk/news/197799/imperial-develops-coronavirus-outbreak-simulation-tools/ model https://www.imperial.ac.uk/news/197656/potential-us-covid-19-resurgence-modelled-lockdowns/

新型コロナに効く界面活性剤入り家庭用洗剤

リスト https://www.nite.go.jp/information/osirasedetergentlist.html 次亜塩素酸水等の記事 https://www.buzzfeed.com/jp/kensukeseya/covid-nite-1

Graph tools

Graph https://dev.classmethod.jp/articles/drawing_tools/

Latex Graph

pdflatex with XeLaTex https://tex.stackexchange.com/questions/553106/shifted-vpaths-are-cropped-in-feynmp/553169#553169

Python with statement

with satement with INSTANCE as VARIABLE: ... example with open(filename) as f: txt = f.read() print(txt) with instance class It should implement following methods. __enter__(self) __exit__(self, exception_type, exception_value, traceback) …

携帯4G band

一覧 キャリア バンド 共通バンド Docomo +19/21 1/3/+28/42 au 11/+18/21/+26/41 sbm +8/11/41 rakuten mini 最新 3/4/5/+18/+19/+26/+28 docomo +19 au +18/+26 sbm なし 共通 3/28 まとめ 4G キャリアバンド Docomo 1/3/+19/21/+28/42 au 1/3/11/+18/21/+…

Pcie packet type

posted non-posted completion Type Cmd wo/data Cmd w/data completion cpl cpld posted msg mwt, msgd non-posted mrd, iord, cfgrd iowt, cfgwt, atomics cpl > non-posted > posted cplv = always on non-posted = posted = 転送長が分からない段階での…

vcs関係

vcs関係 課題 encryped ip mentor/modelsim用をvcsでは使えなかった。 vcs用encrypted rtl を作れない。 解決済み not supported architectute → -full64 option for vcs initial 内のclk, rstがwireではエラー → regに変更。 vpd to vcd vpd2vcd vpd vcd en…

井手英策さん

https://www.minshin.or.jp/article/111330?fbclid=IwAR25PEfGMgHly-WWGbBJ10tRuw_x_rV05h84eLh13MGxnbeAok7PBq7cfY4

OpenSource chip by google

OpenSource Chip 130nm, 10m2, 100components free 40以上のグループが来ればグーグルが選定を開始する。 https://www.theregister.com/AMP/2020/07/03/open_chip_hardware/

検査の神奈川モデル、民間検査

神奈川、民間検査 検査の神奈川モデル」発進 SmartAmp法を活用した新型コロナウイルスの迅速検出法の簡易パッケージ化による検査体制の飛躍的拡充 ウィズコロナ社会における検査のあり方として、保健所中心の検査体制から医療機関中心の検査体制への移行を県…

ウイルスは生物ではない

ウイルスは生物ではない https://modia.chitose-bio.com/articles/virus_01/

PCI Express Transaction Types

TLP List Posted MemWrite, Msg, MsgD NonPosted others except completion Completion : Cpl, CplD With Data posted wd/MemWrite, MsgD, wod/msg nonposted wd/IOwrite, cfgwt cpl wd/cplD, wod/cpl Note iowrite, cfgwrite is nonposted Msg has MsgD and…

SystemVerilig DPI BFM

HDL

DPI BFM DPI を使ったSVとBFMのCoSimulation。 参照 http://www.kumikomi.net/archives/2009/12/_1systemverilogdpi-c.php?page=2

SystemVerilog配列

HDL

SystemVerilog 配列 SystemVerilog 配列は4種類。 静的配列 動的配列 queue 連想配列 コード例 queueと連想配列使用例。byte型データのメモリ。 // mem.sv module mem; // Variables byte m[int unsigned]; // 連想配列 int unsigned q[$]; // queue // func…

UVM

HDL

UVM [1] UVMマニュアル、実装 https://www.accellera.org/downloads/standards/uvm [2] modelsim with UVM https://eda-playground.readthedocs.io/en/latest/modelsim-uvm.html [3] http://www.artgraphics.co.jp/PublicDownloads/Lecture/ET2018_UVM_Lectu…

python 版のPCIe Testbench

Pyhthon PCI Express Testbench https://github.com/alexforencich/verilog-pcie/blob/master/tb/test_pcie.py ついでに、デコレーター 前記ベンチに使われていたので https://realpython.com/primer-on-python-decorators/#decorating-functions-with-argum…

modelsim on centos 7

modelsim on centos7x64 modelsim free、linux版をcentos7で実行するまで。 まずはインテルサイトからlinux版のmodelsim starterをダウンロード。 そのまま実行。 このmodelsimは32bit版のため、32bit版のライブラリ類を導入。 sudo yum install -y glibc-de…

pandas to numpy

性能だと numpyが圧倒的に早い。しかし、データを更新しつつだと不明。 参照 https://qiita.com/nkay/items/6778bb6a6400ed985aa0

国会議員の報酬

国会議員の報酬 議員一人当たりの報酬額。 項目 金額 補足 歳費 1552.8万円 月額129.4万円 期末手当 約635万円 半期316万円 文交費 1200万円 月額100万円 立法事務費 780万円 会派へ 政党助成金 2000-5000万円 政党へ 公設秘書 約2700万円 公費負担 合計 約1…

go server

go https://qiita.com/DEAU_PROJECT/items/2a4abad74f6f17457145

レジ袋

中大論文 現在レジ袋は、日本では年間300億枚、乳幼児を除いた国民一人あたり約300枚が使用されている。これを原料の石油に換算すると、年間50万キロリットルになる。これは、日本人一人あたり、わずか3リットルに過ぎない。普通車で、30キロメートル分の外…

スティグマ

スティグマ スティグマ 【スティグマ】 stigma 社会的に差別される存在であることを示す肉体上の烙印を,ギリシア人がこうよんだのが語源。烙印は客観的特徴であることから,その属性をもった人に対して差別することの社会的合意がある客観的属性のこと。ス…

verilog DPI

HDL

SystemVerilog DPI 目次 DPIとは 簡単な例 試験環境 それぞれのDPI modelsim data to vcd dile http://www.kumikomi.net/archives/2009/12/_1systemverilogdpi-c.php?page=2 DPIとは DPIはDirect Program Interface. SystemVerilog IEEE 1800-2005で "DPI-C"…

table in pdf to pandas, python

Table in pdf to pandas PDF内の表をpandas fataframeにしてくれるモジュール taburaを使う。 tabulaのインストール pip install tabula-py java必須。 import pandas.as pd import tabura import re file = 'a.pdf' page = '10' dl = tabula.read_pdf(file,…

TOP500他

TOP500 富嶽他 https://andosprocinfo.web.fc2.com/Myweb/wadai20/20200627.htm

軽減税率

日本、2019 税率 適用品目 10% 下記以外 8% 食品、酒以外の飲料、新聞 非課税 家賃 https://www.nta.go.jp/taxes/shiraberu/zeimokubetsu/shohi/keigenzeiritsu/01-1.htm 英国、2020 税率 適用品目 非課税 土地・建物の譲渡・賃貸、保険、郵便、賭け事、 金…

沖縄慰霊の日、2020/6/23

沖縄慰霊の日 1945/4/1米軍沖縄本島上陸で始まった沖縄戦、第32軍司令官牛島満大将(当時中将)等の司令部自決日が終結日とされる。自決は6/22説と23説あり。1974制定「沖縄県慰霊の日を定める条例」が6/23を「慰霊の日」と定める。 玉城デニー沖縄県知事の…

因果関係、機械学習

後で https://qiita.com/sugulu/items/2cffb239b44853b07f70